您好,欢迎来到吉趣旅游网。
搜索
您的当前位置:首页基于FPGA的编码器信号处理的电路设计与实现

基于FPGA的编码器信号处理的电路设计与实现

来源:吉趣旅游网
维普资讯 http://www.cqvip.com

2007年6月 第7卷第2期 湖南芽一印蘑学报 旦 an Fi望 Normal College Jun.2o07 Vo1.7 No.2 基于FPGA的编码器信号处理的电路设计与实现 廖永忠 ,廖亦凡 (1.湖南省第一师范学校,湖南长沙410002;2.湖南涉外经济学院,湖南长沙410205) 摘要:一种基于FPGA的四轴伺服编码信号处理电路,采用FPGA来实现四轴伺服编码信号处理电路,完成位置伺服控 制系统的位置的测量与反馈。实验结果表明,这种四轴编码信号处理电路具有结构简单、可靠性高、抗干扰能力强的优点。 关键词:FPGA VHDL;编码器:四倍频 中图分类号:TM13 文献标识码:B 文章编号:1671—4369(2007)02—0156—02 在以机械位置或角度作为控制对象的计算机闭环和半 闭环位置伺服控制系统中,伺服装置接受计算机的位置或 速度指令,经过变换和放大后转换成机械位移。位置检测 线。其接口信号框图如下: 竺 :・卜——— 元件把机械位移信号变成数字量,计算机以固定周期对位 置输出数字量进行采样,反馈到输入端,与指令信号进行比 较,两者的差值作为伺服系统跟随误差,经过 动线路控制 —YB}== 仁=== }=== FPGA z^zB^ ^B — ! :! 竺!兰}=== == 执行元件带动机械位移,直到跟随误差为零。在半闭环位 置伺服控制系统中,常采用增量式光电编码盘作为位置检 测元件,其输出是两组相差90。的方波信号,通过对这两组 信号的处理,来实现对执行元件实际位置的测量。本文设 计了一种DSP的四轴数控伺服控制系统中的四轴编码器 信号处理电路部分。 四轴编码器信号处理电路是对光电编码器输出的两组 相差90。的方波信号的处理,从而获得执行元件的实际位 置。其输出是一路16位的数字量,反馈给中央处理器,编 码器信号处理电路包括滤波、倍频、计数几个功能模块。传 统的四轴编码器信号处理电路采用分立元件来设计,它可 靠性、抗干扰能力差。应用FPGA设计的单片并行四轴编 码器信号处理电路具有实时性好、硬件体积小、工作效率 高,提高了系统的集成度。相对于分立元件,单片并行四轴 编码信号处理电路集成在一个片子上,一方面单片芯片内 的门电路、触发器的参数特性是完全一致的,在相同转速下 脉冲信号的脉冲周期可以保持一致;另一方面,电路做在单 个芯片内,抗干扰性能比分离器件构成的电路也有很大的 图2数字滤波仿真波形 图1接口信号框图 (一)滤波模块的设计 编码盘理论上是稳定的方波信号,但在实际操作中,经常 会存在脉动干扰。滤波模块的功能是将这些脉动干扰滤掉,降 低系统产生错误动作的可能性,提高系统的可靠性。下面的 VHDL程序通过对A、B两相方波信号同时延时四个CLK脉 冲,脉冲宽度小于三个CLK脉冲周期的输入信号被滤掉。 process(clk) begin 提高,增强了系统的灵活性、通用性和可靠性。可逆计数器 电路通过对脉冲编码器信号进行计数,确定电机运动位移 反馈DSP,计算出与给定位置的误差值,完成位置控制。将 设计好的数字滤波电路、倍频鉴相电路、可逆计数器模块下 载到可编程逻辑控制器件中,实现对编码器输出信号的处 理。本例是一个四轴伺服系统,因此有八路四组方波信号, A相B相相差90。,CLR、CLK、WE分别为输出清零、系统时 钟和输出使能,SELCET是输出选择信号,选择x、Y、Z、A 中的一组信号处理的结果作为输出信号,分时送到数据总 if(elr=‘1’)then pb<=‘0’: else if(elk‘event and elk=1’)then f(0)<=b; f(2 downto 1)<=f(1 downto 0); iff=“111”then pb<=‘1’: elsiff=“oo0”then pb<=‘0’: 收稿日期:2oo7—03—07 作者简介:廖永忠(1975一),湖南常德人,湖南省第一师范学校信息技术系教师,工程师,硕士;廖亦凡(1976一),男, 湖南邵阳人,湖南涉外经济学院助讲。 156 维普资讯 http://www.cqvip.com

endif; j<=‘1’; else (二)倍频计数模块的设计 j<=‘0’; 四倍频电路的设计是为了增加计数脉冲在一个周期中 end if; 的个数,来提高测量的分辨率。工程中常把光电编码器输 end if; 出的两路方波信号的上升沿和下降沿,来获得四倍频的脉 end process; 冲信号,把光电编码器的分辨率提高四倍,通过光电编码器 观察上面的VHDL程序可以知道,在一个周期内产生 输出两路方波信号相差的正负来确定运动的方向,对光电 的四倍频计数脉冲输出S,方向判别信号J,通过一个16位 编码器输出信号A、B,可以写成: 可逆计数就可以实现对伺服装置的位置检测,实现位置伺 (£)=。 u u(£一{一+二  )一u(£一 + ) (1—1) 服控制系统的闭环或半闭环控制。 architecture a ofjs4bpl0 is (£)=磊u(£一 +kr)一U(t-÷ +kr)(1—2) signal pl,p2:std_logic; component js4bp9 分别将 (t)fB(t)延迟”的函数记为 ,(t) ,(t) oprt(a,b,clcok:in std_logic;s,j:out std logic); ,(£) 五(£一寺一t,+ )一u(£一 —t,+ )(1—3) end component; component js4bp14 ,(£) 善(£一寺一t,+ )一u(£一 —t,+ )(1_4) oprt(clr,elk,updown;in std—lo c;count:out std—logic— 当 加时 (t)一 ,(t)一 (t)一 ,(t)一 如式 vector(15 downto 0)); end component; (t)一 ,(t)=∑ (t一÷+kT)一 (t—T+kT)or b ̄gin wO:js4bp9 , (1—5) ()一 ,()一t  ,()=k()=∑ (一等+t ∑=0 (t +kr)一 kT 一 (t- t  ÷ +kkr)oprtmap(clcok=>clcok,a=>a,b=>b,S=>pl,j= 一 T >p2); (1—6) wl:js4bp14 这里只讨论第一个周期的情况,后面的周期可以依次 portmap(clr=>dr,clk=>pl,updown=>p2,count= 类推。在第一个周期内 >count); . ’ (t)一 ,(t)= (t一÷)一 (t—T) (1—7) end a; ' fB(t)一 ,(£)= (£一等)一 (£一4r) (1—8) 不考虑 的正负(只需要在一个周期中产生四个脉 冲) (t)一 ,(t) (t)一 ,(t)各产生两个脉冲,在延迟” 内,利用异或门来实现产生脉冲,即 图3计数仿真波形 (t)Q ,(t)= (t一÷)+ (t—T) (1—9) 实践结果表明,这种四轴编码信号处理电路具有结构 1 f fs(t)@fs,(f)= (£一芋)+Or(t一亍 ) (1_10) 简单、可靠性高、抗干扰能力强的优点。 运动方向通过观察光电编码器输出的两路方波信号相 参考文献: 位的特点来确定。当A相上升沿到来时,如果B相脉冲值 [1]曾繁泰等.VHDL程序设计[M].北京:清华大学出版 为低电平,则是正转;为高电平。则为反转。下面是实现单 社。20o2. 周期产生四个脉冲的VHDL程序: [2]高盛涛.基于.Y-,_lk PC的6轴伺服控制卡的研究和实 process(clcok。a) b ̄gin 现[D].北京:中国科学院,2001. if(clcok‘event and clcok=’1‘)then [3]葛一楠.基于CPLD的光电脉冲码盘信号四倍频电路 pa<=a;pb<=b; 设计[J],成都:成都大学学报(自然科学版),2004,23 S<=(a xor pa)or(b xor pb); (3):34~38. end if; [4]包明,赵明富,陈渝光.EDA技术与数字系统设计[M]. if(a’event nad a:‘1’)then 北京:北京航空航天大学出版社,2002. ifb=‘0’then The Design and R ̄lization of Coder Signal Processing Circuit Based on FPGA LIA0 Yong—zhong .LiaoYi—fan (1.Hunan First Normal CoUege,Changsha,Hunan 410002; 2.Hunan CoUege of International Economics,Changsha,Hunan 410205) Abstract:In this paper,a 4一axis servo coder singal processing circuit based on FPGA is studied.FPGA is used to imple— ment 4一axis servo coder singal processing circuit.and the position measurement and feedback of ser o control system.Experiment results show that this 4一axis coder singal processing circuit has virtues of simple structure,hish reliability and strong capabihty of nati—jamming. Key Words:FPGA;VHDL;encoder;quadrant [责任编辑:胡重光] 157 

因篇幅问题不能全部显示,请点此查看更多更全内容

Copyright © 2019- jqkq.cn 版权所有

违法及侵权请联系:TEL:199 1889 7713 E-MAIL:2724546146@qq.com

本站由北京市万商天勤律师事务所王兴未律师提供法律服务